Vhdl Answers to Frequently Asked Questions - Ben Cohen

Vhdl Answers to Frequently Asked Questions

Ben Cohen (Autor)

Media-Kombination
320 Seiten
1996 | 1997 ed.
Kluwer Academic Publishers
978-0-7923-9791-5 (ISBN)
95,19 inkl. MwSt
  • Titel ist leider vergriffen;
    keine Neuauflage
  • Artikel merken
A follow-up to the author's book "VHDL Coding Styles and Methodologies", (ISBN 0-7923-9598-0), this text presents answers to questions often asked about VHDL and gives complex simulatable examples to clarify explanation.
This text is a follow-up to the author's book "VHDL Coding Styles and Methodologies", (ISBN 0-7923-9598-0). On completion of his first book, the author continued teaching VHDL and actively participated in the comp.lang.vhdl newsgroup. During his experiences, he was enlightened by the many interesting issues and questions relating to VHDL and synthesis. These pertained to: misinterpretations in the use of the language; methods for writing error-free, and simulation-efficient, code for testbench designs and for synthesis; and general principles and guidelines for design verification. As a result of this wealth of public knowledge contributed by a large VHDL community, the author decided to act as a facilitator of this information by collecting different classes of VHDL issues, and by elaborating on these topics through complex simulatable examples. This book is intended for those who are seeking an enhanced proficiency in VHDL.
This text: emphasizes application of VHDL for synthesis; uses complete examples to demonstrate problems and solutions; provides a disk that includes all the book examples and other useful reference VHDL material; uses easy to remember symbology notation to emphasize language rules, good and poor methodology and coding styles; identifies obsolete VHDL constructs that must be avoided; identifies synthesizable/non-synthesizable structures; and uses a question and answer format to clarify and emphasize the concerns of VHDL users.

Language Elements. Arrays. Drivers. Subprograms. Packages. Models. Synthesis. Design Verification and Testbench. Potpourri. Appendices: A: VHDL'93 and VHDL'87 Syntax Summary. B: Package Standard. C: Package TEXTIO. D: Package STD_LOGIC_1164. E: Package STD_LOGIC_ARITH. F: VHDL Predefined Attributes.

Erscheint lt. Verlag 30.11.1996
Zusatzinfo bibliography, index
Sprache englisch
Themenwelt Mathematik / Informatik Informatik Programmiersprachen / -werkzeuge
ISBN-10 0-7923-9791-6 / 0792397916
ISBN-13 978-0-7923-9791-5 / 9780792397915
Zustand Neuware
Haben Sie eine Frage zum Produkt?