Silicon Carbide and Related Materials 2001 -

Silicon Carbide and Related Materials 2001

Buch | Softcover
1760 Seiten
2002
Trans Tech Publications Ltd (Verlag)
978-0-87849-894-9 (ISBN)
579,95 inkl. MwSt
  • Keine Verlagsinformationen verfügbar
  • Artikel merken
ICSCRM 2001
Wide-bandgap semiconductors such as silicon carbide (SiC) and group-III Nitrides have attracted increasing attention as favored materials short-listed for use in new electronic devices; especially those destined for high-power, high-frequency and/or high-temperature applications, as well as short-wavelength light-emitters. This two-volume set contains >illustrated transcripts of papers presented at the International Conference on Silicon Carbide and Related Materials 2001 (ICSCRM2001), held in the Fall of 2001 at Tsukuba, Japan. This timely conference was held in the very first year of the 21st century; an era in which SiC devices are going to find a real market. More than 500 contributors; both academic scientists and device engineers, from 20 countries, discussed and exchanged ideas extensively during the five days of the conference.

Silicon Carbide Technology in New Era
Characterisation and Defects in Silicon Carbide
Opportunities and Technical Strategies for Silicon Carbide Device Development
High Quality SiC Substrates for Semiconductor Devices: From Research to Industrial Production
Growth and Defect Reduction of Bulk SiC Crystals
Growth of 3-inch Diameter 6H-SiC Single Crystals by Sublimation Physical Vapor Transport
Lateral Enlargement of Silicon Carbide Crystals
Numerical Simulation of Heat and Mass Transfer in SiC Sublimation Growth
4H Polytype Grain Formation in PVT-Grown 6H-SiC Ingots
The Development of 2in 6H-SiC Wafer with High Thermal-Conductivity
Evolution of Crystal Mosaicity during Physical Vapor Transport Growth of SiC
Reduction of Macrodefects in Bulk SiC Single Crystals
Model for Macroscopic Slits in 6H- and 4H-SiC Single Crystals
Macrodefect Generation in SiC Single Crystals Caused by Polytype Changes
The Nucleation of Polytype Inclusions during the Sublimation Growth of 6H and 4H Silicon Carbide
Characterization of Inclusions in SiC Bulk Crystals Grown by Modified Lely Method
Observation of Planar Defects in 2-inch SiC Wafer
Flux-Controlled Sublimation Growth by an Inner Guide-Tube
Growth and Evaluation of High Quality SiC Crystal by Sublimation Method
'Insitu Synthesis' of Source Material from Elemental Si and C during SiC PVT Growth Process and Characterization Using Digital X-Ray Imaging
Influence of the Crystal Thickness on the SiC PVT Growth Rate
Micropipe Formation Model via Surface Step Interaction
Self-Healing Phenomenon of Micropipes in Silicon Carbide
A Method of Reducing Micropipes in Thin Films by Using Sublimation Growth
Dislocation Constraint by Etch-Back Process of Seed Crystal in SiC Bulk Crystal Growth
The Effect of Nitrogen on Crystal Growth of SiC on (11-20) Substrates
Temperature Dependence of Sublimation Growth of 6H-SiC on (11-20) Substrates
The Development of 4H-SiC {03-38} Wafers
Incorporation of Boron and the Role of Nitrogen as a Compensation Source in SiC Bulk Crystal Growth
Aluminum Doping of 6H- and 4H-SiC with a Modified PVT Growth Method
Resistivity Mapping of Semi-Insulating 6H-SiC Wafers
On the Preparation of Vanadium-Doped Semi-Insulating SiC Bulk Crystals
Solid-Phase Epitaxial Growth of Bulk SiC Single Crystals
Full Si Wafer Conversion into Bulk 3C-SiC
QuaSiC Smart-Cut® Substrates for SiC High Power Devices
CVD SiC Powder for High-Purity SiC Source Material
Direct Synthesis and Growth of SiC Single Crystal from Ultrafine Particle Precursor
Recent Achievements and Future Challenges in SiC Homoepitaxial Growth
Growth and Electrical Characterization of the Lightly-Doped Thick 4H-SiC Epilayers
Fast Epitaxial Growth of 4H-SiC by Chimney-Type Hot-Wall CVD
High-Rate Epitaxial Growth of 4H-SiC Using a Vertical-Type, Quasi-Hot-Wall CVD Reactor
Fast Growth and Doping Characteristics of α-SiC in Horizontal Cold-Wall Chemical Vapor Deposition
Highly Uniform Epitaxial SiC-Layers Grown in a Hot-Wall CVD Reactor with Mechanical Rotation
Growth Characteristics of SiC in a Hot-Wall CVD Reactor with Rotation
Epitaxial Growth of (11-20) 4H-SiC Using Substrate Grown in the [11-20] Direction
Hot-Wall CVD Growth of 4H-SiC Using Si2Cl6+C3H8+H2 System
Aluminum Doping of Epitaxial Silicon Carbide Grown by Hot-Wall CVD; Effect of Process Parameters
Aluminum Incorporation into 4H-SiC Layers during Epitaxial Growth in a Hot-Wall CVD System
Vapor-Phase Epitaxial Growth of n-Type SiC Using Phosphine as the Precursor
Investigation of Residual Impurities in 4H-SiC Epitaxial Layers Grown by Hot-Wall Chemical Vapor Deposition
Predicting Growth Rates of SiC Epitaxial Layers Grown by Hot-Wall Chemical Vapor Deposition
Simulation of the Large-Area Growth of Homoepitaxial 4H-SiC by Chemical Vapor Deposition
Simulation of High-Temperature SiC Epitaxial Growth Using Vertical, Quasi-Hot-Wall CVD Reactor
Bending of Basal-Plane Dislocations in VPE Grown 4H-SiC Epitaxial Layers
The Effect of Epitaxial Growth on Warp of SiC Wafers
In Situ Etching of 4H-SiC in H2 with Addition of HCl for Epitaxial CVD Growth
Surface Morphology of SiC Epitaxial Layers Grown by Vertical Hot-Wall Type CVD
Delta-Doped Layers of SiC Grown by 'Pulse Doping' Technique
Homoepitaxial 'Web Growth' of SiC to Terminate C-Axis Screw Dislocations and Enlarge Step-Free Surfaces
Formation of Epitaxial Mesa Structures on 4H-SiC (0001) and (11-20) Substrates
Characteristics of Boron in 4H-SiC Layers Produced by High-Temperature Techniques
Epitaxial Growth of 4H-SiC with Hexamethyldisilane HMDS
Homoepitaxial Growth of 4H-SiC on Porous Substrate Using Bis-Trimethylsilylmethane Precursor
TEM (XHREM) and EDX Studies of 6H-SiC Porous Layer as a Substrate for Subsequent Homoepitaxial Growth
3C-SiC(100) Homoepitaxial Growth by Chemical Vapor Deposition and Schottky Barrier Junction Characteristics
Homoepitaxial Growth of Cubic Silicon Carbide by Sublimation Epitaxy
Impact of the Initial Surface Conditions on Defect Appearance in 4H-SiC Epilayers
Effect of the Si Droplet Size on the VLS Growth Mechanism of SiC Homoepitaxial Layers
Liquid-Phase Epitaxial Growth of Heavily Doped Al p-Type Contact Layers for SiC Devices and Resulting Ohmic Contacts
Traveling Self-Confined-Solvent Method: A Novel LPE Growth of 6H-SiC
Homoepitaxial Growth of 4H-SiC Thin Film Below 1000°C by Microwave Plasma Chemical Vapor Deposition
In Situ Etching of SiC Wafers in a CVD System Using Oxygen as the Source
SiO2 as Oxygen Source for the Chemical Vapor Transport of SiC
Growth of Defect-Free 3C-SiC on 4H- and 6H-SiC Mesas Using Step-Free Surface Heteroepitaxy
3C-SiC Growth on 6H-SiC (0001) Substrates
Heteroepitaxial Growth and Characteristics of 3C-SiC on Large-Diameter Si(001) Substrates
Comparative Study of Heteroepitaxially and Homoepitaxially Grown 3C-SiC Films
Growth of 3C-SiC on Si(100) by LPCVD and Patterning of the Grown Layers
Selective Epitaxial Growth of Pyramidal 3C-SiC on Patterned Si Substrate
Evaluation of Carbonized Layers for 3C-SiC/Si Epitaxial Growth by Ellipsometry
In Situ Doping of 3C-SiC Grown on (0001) Sapphire Substrates by LPCVD
Improved SiCOI Structures Elaborated by Heteroepitaxy of 3C-SiC on SOI
Study of Metamorphosing Top Si Layer of SOI Wafer into 3C-SiC Using Conventional Electric Furnace
Formation of Extremely Thin, Quasi-Single-Domain 3C-SiC Film on Resistively Heated On-Axis Si(001) Substrate Using Organo-Silane Buffer Layer
Electrical Characterization of SiC/Si Heterostructures with Modified Interfaces
Void-Free Epitaxial Growth of Cubic SiC Crystallites during CO Heat Treatment of Oxidized Silicon
Reaction Mechanism of the Carbonization Process by Low-Energy Ion Subplantation
Comparison of the Growth Characteristics of SiC on Si between Low-Pressure CVD and Triode Plasma CVD
Fabrication of α-SiC Heteroepitaxial Films by YAG-PLAD Method
Low-Temperature Preparation of α-SiC Epitaxial Films by Nd: YAG Pulsed-Laser Deposition
Physics of Heteroepitaxy and Heterophases
Growth-Induced Structural Defects in SiC PVT Boules
Polytype Identification and Mapping in Heteroepitaxial Growth of 3C on Atomically Flat 4H-SiC Mesas Using Synchrotron White-Beam X-Ray Topography
Behavior of Micropipes during Growth in 4H-SiC
Reduced Micropipe Density in Boule-Derived 6H-SiC Substrates via H Etching of Seed Crystals
Stress Distribution in 2in SiC Wafer Measured by Photoelastic Method
Characterization of 2in SiC As-Grown Bulk by SWBXT at SPring-8
Observation of 2in SiC Wafer by SWBXT at SPring-8
Analysis of Sub-Surface Damage-Induced Threading Dislocations in Physical Vapor Transport Growth of 6H-SiC
Investigation of Structural Defects during 4H-SiC Schottky Diode Processing by Synchrotron Topography
Structural Defects in Electrically Degraded 4H-SiC PiN Diodes
Propagation of Current-Induced Stacking Faults and Forward Voltage Degradation in 4H-SiC PiN Diodes
Optical Emission Microscopy of Structural Defects in 4H-SiC PiN Diodes
Structure of 2D-Nucleation-Induced Stacking Faults in 6H-SiC
Theoretical Calculation of Stacking Fault Energies in Silicon Carbide
A Simple Mapping Method for Elementary Screw Dislocations in Homoepitaxial SiC Layers
Replication of Defects from 4H-SiC Wafer to Epitaxial Layer
4H- to 3C-SiC Polytypic Transformation during Oxidation
Oxidation-Induced Crystallographic Transformation in Heavily N-Doped 4H-SiC Wafers
Investigation of the Relationship between Defects and Electrical Properties of 3C-SiC Epilayers
RHEED: A Tool for Structural Investigations of Thin Polytypic SiC Layers
Electron-Irradiation-Induced Amorphization of 6H-SiC by 300 keV Transmission Electron Microscope Equipped with a Field-Emission Gun
The Nature and Diffusion of Intrinsic Point Defects in SiC
Theoretical Investigation of an Intrinsic Defect in SiC
Carbon Interstitials in SiC: A Model for the DII Center
Chemical Environment of Atomic Vacancies in Electron Irradiated Silicon Carbide Measured by a 2D-Doppler Broadening Technique
Radiation-Induced Defects in 4H- and 6H-SiC Epilayers Studied by Positron Annihilation and Deep-Level Transient Spectroscopy
Vacancy Defects in As-Polished and in High-Fluence H+-Implanted 6H-SiC Detected by Slow Positron Annihilation Spectroscopy
EPR Study of Single Silicon Vacancy-Related Defects in 4H- and 6H-SiC
The Neutral Silicon Vacancy in SiC: Ligand Hyperfine Interaction
Properties of the UD-1 Deep-Level Center in 4H-SiC
Electronic Structure of the UD3 Defect in 4H- and 6H-SiC
Depth Distribution of Lattice Damage-Related DI and DII Defects after Ion Implantation and Annealing of 6H-SiC
Electrical Properties of Neutron-Irradiated Silicon Carbide
Radiation-Induced Defects in p-Type Silicon Carbide
Hole and Electron Effective Masses in 6H-SiC Studied by Optically Detected Cyclotron Resonance
Electronic Localization around Stacking Faults in Silicon Carbide
Theoretical Study of Cubic Polytype Inclusions in 4H-SiC
Full-Band Monte Carlo Simulation of Electron Transport in 3C-SiC
Physical Mechanism for the Anomalous Behavior of n-Type Dopants in SiC
Influence of Junction Potential Distribution on Effective Impurity Ionization Time Constants in SiC for Admittance Spectroscopy Data Analysis
Electrical Activity of Residual Boron in Silicon Carbide
Ab Initio Calculations of B Diffusion in SiC
Aluminum and Boron Diffusion into (1-100) Face SiC Substrates
Impurity-Controlled Dopant Activation - The Role of Hydrogen in p-Type Doping of SiC
Incorporation of Hydrogen (1H and 2H) into 4H-SiC during Epitaxial Growth
Hydrogen Incorporation into SiC Using Plasma-Hydrogenation
Polytype Dependence of Transition Metal-Related Deep Levels in 4H-, 6H- and 15R-SiC
Theoretical Studies of Vanadium Impurity in β-SiC
New and Improved Quantitative Characterization of SiC Using SIMS
Experiment and Theory of the Anharmonic Effect in C-H and C-D Vibrations of SiC
Spectra Associated with Stacking Faults in 4H-SiC Grown in a Hot-Wall CVD Reactor
Characterization of Bulk and Epitaxial SiC Material Using Photoluminescence Spectroscopy
Characterization of SiC Epitaxial Wafers by Photoluminescence under Deep UV Excitation
UV Scanning Photoluminescence Spectroscopy Investigation of 6H- and 4H-SiC
Mapping of the Luminescence Decay of Lightly-Doped n-4H-SiC at Room-Temperature
Photoluminescence Investigation of Hydrogen Interaction with Defects in SiC
Photoconductivity of Lightly-Doped and Semi-Insulating 4H-SiC and the Free Exciton Binding Energy
Characterization of 4H-SiC Band-Edge Absorption Properties by Free-Carrier Absorption Technique with a Variable Excitation Spectrum
Spatial Mapping of the Carrier Concentration and Mobility in SiC Wafers by Micro Fourier-Transform Infrared Spectroscopy
Experimental Determination of the Phonon-Eigenvectors of Silicon Carbide by Raman Spectroscopy
Sensitive Detection of Defects in α and β SiC by Raman Scattering
Raman Microprobe Study of Carrier Density Profiles in Modulation-Doped 6H SiC
A Raman Study of Metal-SiC Interface Reactions
Ultrafast Electron Relaxation Processes in SiC
Optical Characterization of Ion-Implanted 4H-SiC
Breakdown Fields along Various Crystal Orientations in 4H-, 6H- and 3C-SiC
Quantitative High-Resolution Two-Dimensional Profiling of SiC by Scanning Capacitance Microscopy
Scanning Capacitance Microscopy of SiC Multiple PN Junction Structure Grown by Cold-Wall Chemical Vapor Deposition
Carrier Concentrations in Implanted and Epitaxial 4H-SiC by Scanning Spreading Resistance Microscopy
Nanoscale Electrical Characterization of 3C-SiC Layers by Conductive Atomic Force Microscopy
Point-Contact Current Voltage Technique for Depth Profiling of Dopants in Silicon Carbide
Optical and Electrical Characterization of Free-Standing 3C-SiC Films Grown on Undulant 6in Si Substrates
Influence of Excited States of Deep Acceptors on Hole Concentrations in SiC
p-3C-SiC/n-6H-SiC Heterojunctions: Structural and Electrical Characterization
Scanning Acoustic Microscopy in Porous SiC
Atomic-Scale Passivation of Silicon Carbide Surfaces
Adsorption of Metastable Molecular Oxygen on SiC(0001)-√3 x √3
Oxidation States Present on SiC (0001) after Oxygen Exposure
Adsorbate Effects of the Surface Structure of 6H-SiC(0001) √3x√3-R30°
In Situ Analysis of Thermal Oxidation on H-Terminated 4H-SiC Surfaces
A High-Resolution Photoemission Study of Hydrogen-Terminated 6H-SiC Surfaces
Wet-Chemical Preparation of Silicate Adlayer Reconstructed SiC(0001) Surfaces as Studied by PES and LEED
Photoemission Electron Imaging of Transition Metal (Ti, Ni) Surfaces on Si and SiC
In Situ RHEED Analysis of the Ge-Induced Surface Reconstructions on 6H-SiC(0001)
Atomic-Step Observations on 6H- and 15R-SiC Polished Surfaces
Optimization of Interface and Interphase Systems: The Case of SiC and III-V Nitrides
Towards Quantum Structures in SiC
Modification of SiC Properties by Insertion of Ge and Si Nanocrystals - Description by ab initio Supercell Calculations
Growth and Characterization of Three-Dimensional SiC Nanostructures on Si
Hole Resonant Tunneling through SiC/Si-dot/SiC Heterostructures
Development of a Multilayer SiC Surface Micromachining Process with Capabilities and Design Rules Comparable to Conventional Polysilicon Surface Micromachining
Influence of Deposition Parameters and Temperature on Stress and Strain of In Situ Doped PECVD Silicon Carbide
Thermoelectric Properties of 3C-SiC Produced by Silicon Carbonization
The Brittle-to-Ductile Transition in 4H-SiC
Annealing of Implanted Layers in (1-100) and (11-20) Oriented SiC
Range Distributions of Implanted Ions in Silicon Carbide
Phosphorus Ion Implantation into 4H-SiC (0001) and (11-20)
Electrical Activation of Implanted Phosphorus Ions in (0001)/(11-20)-Oriented 4H-SiC
Codoping of 4H-SiC with N- and P-Donors by Ion Implantation
Improvements in Electrical Properties of n-Type-Implanted 4H-SiC Substrates Using High-Temperature Rapid Thermal Annealing
Low-Temperature Activation of the Ion-Implanted Dopants in 4H-SiC by Excimer Laser Annealing
Electrical Characteristics of Al+ Ion-Implanted 4H-SiC
Micro-Structural and Electrical Properties of Al-Implanted & Lamp-Annealed 4H-SiC
Comparison between Chemical and Electrical Profiles in Al+ or N+ Implanted and Annealed 6H-SiC
Damage Evolution and Recovery in Al-Implanted 4H-SiC
Comparison of Al and Al/C Co-Implants in 4H-SiC Annealed with an AlN Cap
Influence of Implantation Temperature and Dose Rate on Secondary Defect Formation in 4H-SiC
A Comparative Study of High-Temperature Aluminum Post-Implantation Annealing in 6H- and 4H-SiC, Non-Uniform Temperature Effects
Post-Implantation Annealing Effects on the Surface Morphology and Electrical Characteristics of 6H-SiC Implanted with Aluminum
Ion Implantation - Tool for Fabrication of Advanced 4H-SiC Devices
Annealing Kinetics of Implantation-Induced Amorphous Layer in 6H-SiC (0001)
Direct Observation of the Solid-Phase Recrystallization of Self-Implanted Amorphous SiC Layer on (11-20), (1-100), and (0001) Oriented 6H-SiC
Ion-Implantation Induced Deep Levels in SiC Studied by Isothermal Capacitance Transient Spectroscopy (ICTS)
Distribution Profile of Deep Levels in SiC Observed by Isothermal Capacitance Transient Spectroscopy
Enhanced Dopant Diffusion Effects in 4H Silicon Carbide
Infrared Investigation of Implantation Damage in 6H-SiC
Suppression of Macrostep Formation in 4H-SiC Using a Cap Oxide Layer
Masking Process for High-Energy and High-Temperature Ion Implantation
Laser Crystallization Mechanism of Amorphous SiC Thin Films
Experimental and Computer Simulation Studies of Defects and Ion-Solid Interactions in Silicon Carbide
Ohmic Contact Structure and Fabrication Process Applicable to Practical SiC Devices
CoAl Ohmic Contact Materials with Improved Surface Morphology for p-Type 4H-SiC
NiSi2 Ohmic Contact to n-Type 4H-SiC
Electrical Characterization of Nickel Silicide Contacts on Silicon Carbide
Effects of Interfacial Reactions on Electrical Properties of Ni Ohmic Contacts on n-Type 4H-SiC
Influence of Rapid Thermal Annealing on Ni/6H-SiC Contact Formation
Effect of Rapid Thermal Annealing Conditions on Parameters of Ni/21R-SiC Contacts
Effects of Surface Treatments of 6H-SiC upon Metal-SiC Interfaces
Titanium-Based Ohmic Contact on p-Type 4H-SiC
Reliable Ohmic Contacts to LPE p-Type 4H-SiC for High-Power p-n Diode
Schottky Barriers for Pt on 6H- and 4H-SiC (0001), (000-1), (1-100) and (1-210) Faces Measured by I-V, C-V and Internal Photoemission
Electrical Properties and Interface Reaction of Annealed Cu/4H-SiC Schottky Rectifiers
Effect of Temperature Treatment on Au/Pd Schottky Contacts to 4H-SiC
Characteristics of Schottky Diodes on 6H-SiC Surfaces after Sacrificial Anodic Oxidation
Reduction of the Barrier Height and Enhancement of Tunneling Current of Titanium Contacts Using Embedded Au Nano-Particles on 4H and 6H Silicon Carbide
Some Comparative Properties of Diffusion-Welded Contacts to 6H and 4H Silicon Carbide
Electrical Properties of Graphite/p-Type Homoepitaxial Diamond Contact
Reactive Ion Etching Process of 4H-SiC Using the CHF3/O2 Mixtures and a Post-O2 Plasma-Etching Process
Electrical Properties of 4H-SiC Thin Films Reactively Ion-Etched in SF6/O2 Plasma
Photoelectrochemical Etching Process of 6H-SiC Wafers Using HF-Based Solution and H2O2 Solution as Electrolytes
Oxidation of Silicon Carbide: Problems and Solutions
Passivation of the 4H-SiC/SiO2 Interface with Nitric Oxide
Passivation of the Oxide/4H-SiC Interface
Effect of Process Variations on 4H Silicon Carbide n-Channel MOSFET Mobilities
High-Current, NO-Annealed Lateral 4H-SiC MOSFETs
N2O Processing Improves the 4H-SiC:SiO2 Interface
Reduction of Interface Trap Density in 4H-SiC MOS by High-Temperature Oxidation
Improving 4H-SiC/SiO2 Interface Properties by Depositing Ultra-Thin Si Nitride Layer Prior to Formation of SiO2 and Annealing
Improvement of SiO2/α-SiC Interface Properties by Nitrogen Radical Treatment
New Evidence of Interfacial Oxide Traps in n-Type 4H- and 6H-SiC MOS Structures
On Shallow Interface States in n-Type 4H-SiC Metal-Oxide-Semiconductor Structures
Effects of Successive Annealing of Oxides on Electrical Characteristics of Silicon Carbide Metal-Oxide-Semiconductor Structures
The Investigation of 4H-SiC/SiO2 Interfaces by Optical and Electrical Measurements
Characteristics of Mobile Ions in the SiO2 Films of SiC-MOS Structures
Abnormal Hysteresis Property of SiC Oxide C-V Characteristics
ESR Characterization of SiC Bulk Crystals and SiO2/SiC Interface
Characterization of the Interfaces between SiC and Oxide Films by Spectroscopic Ellipsometry
X-Ray Photoelectron Spectroscopy Studies of Post-Oxidation Process Effects on Oxide/SiC Interfaces
SIMS Analyses of SiO2/4H-SiC(0001) Interface
Hall Measurements of Inversion and Accumulation-Mode 4H-SiC MOSFETs
Correlation between Inversion Channel Mobility and Interface Traps near the Conduction Band in SiC MOSFETs
Influence of the Wet Re-Oxidation Procedure on Inversion Mobility of 4H-SiC MOSFETs
Influence of the Crystalline Quality of Epitaxial Layers on Inversion Channel Mobility in 4H-SiC MOSFETs
A Large Reduction in Interface-State Density for MOS Capacitor on 4H-SiC (11-2 0) Face Using H2 and H2O Vapor Atmosphere Post-Oxidation Annealing
Significant Improvement of Inversion Channel Mobility in 4H-SiC MOSFET on (11-20) Face Using Hydrogen Post-Oxidation Annealing
4H-SiC MOSFETs on (03-38) Face
Improved Channel Mobility in Normally-Off 4H-SiC MOSFETs with Buried Channel Structure
4H-SiC ACCUFET with a Two-Layer Stacked Gate Oxide
4H-SiC Delta-Doped Accumulation-Channel MOS FET
Channel Engineering of Buried-Channel 4H-SiC MOSFET Based on the Mobility Model of the Oxide/4H-SiC Interface
TCAD Optimisation of 4H-SiC Channel-Doped MOSFET with P-Polysilicon Gate
Hysteresis in Transfer Characteristics in 4H-SiC Depletion/Accumulation-Mode MOSFETs
Gamma-Ray Irradiation Effects on the Electrical Characteristics of 6H-SiC MOSFETs with Annealed Gate-Oxide
Radiation Response of p-Channel 6H-SiC MOSFETs Fabricated Using Pyrogenic Conditions
Surface Morphology and Chemistry of 4H- and 6H-SiC after Cyclic Oxidation
Plasma Oxidation of SiC at Low Temperatures (below 300°C)
Low-Temperature Thermal Oxidation of Ion-Amorphized 6H-SiC
Oxidation of Porous 4H-SiC Substrates
Advances in SiC Materials and Technology for Schottky Diode Applications
Comparison of 4H-SiC pn, Pinch and Schottky Diodes for the 3 kV Range
A JBS Diode with Controlled Forward Temperature Coefficient and Surge Current Capability
Impact of Material Defects on SiC Schottky Barrier Diodes
A Comparative Study of the Electrical Properties of 4H-SiC Epilayers with Continuous and Dissociated Micropipes
Analysis of High Leakage Currents in 4H-SiC Schottky Barrier Diodes Using Optical Beam-Induced Current Measurements
4H-SiC Schottky Diodes with High On/Off Current Ratio
Optimisation of Implanted Guard-Ring Terminations in 4H-SiC Schottky Diodes
Performance of 4H-SiC Schottky Diodes with Al-Doped p-Guard-Ring Junction Termination at Reverse Bias
High-Voltage Pulse Instabilities in SiC Schottky Diodes with Implanted Resistive Edge Terminations
Development of 600 V/ 8 A SiC Schottky Diodes with Epitaxial Edge Termination
Minimization of Electric Field Enhancement at Electrode Edge by Surface High Resistive Layer in Ti/4H-SiC Schottky Barrier Diode
Reverse Characteristics of a 4H-SiC Schottky Barrier Diode
Power Schottky and p-n Diodes on SiC Epi-Wafers with Reduced Micropipe Density
4H-SiC MPS Diode Fabrication and Characterization in an Inductively Loaded Half-Bridge Inverter up to 100 kW
Performance of SiC Bipolar (PiN) and Unipolar (SBD) Power Rectifiers in Current-Voltage-Frequency Parameter Space
Application-Oriented Unipolar Switching SiC Devices
High-Performance UMOSFETs in 4H-SiC
Large-Area (3.3 mm x 3.3 mm) Power MOSFETs in 4H-SiC
5.0 kV 4H-SiC SEMOSFET with Low RonS of 88 m Ω cm2
Fabrication and Initial Characterization of 4H-SiC Epilayer Channel MOSFETs
Optimized P-Well Profile Preventing Punch-Through for 4H-SiC Power MOSFETs
SiC Vertical DACFET (Vertical Delta-Doped Accumulation Channel MOSFET)
4H- and 6H-SiC MOSFETs Fabricated on Sloped Sidewalls Formed by Molten KOH Etching
A 600 V SiC Trench JFET
A Novel High-Voltage Normally-Off 4H-SiC Vertical JFET
2 kV 4H-SiC Junction FETs
Design and Processing of High-Voltage 4H-SiC Trench Junction Field-Effect Transistor
Influence of Trenching Effect on the Characteristics of Buried-Gate SiC Junction Field-Effect Transistors
Static and Dynamic Behaviour of SiC JFET/Si MOSFET Cascade Configuration for High-Performance Power Switches
Simulation Study of a Novel Current-Limiting Device: A Vertical α-SiC JFET - Controlled Current Limiter
Realization of a High-Current and Low RON 600V Current-Limiting Device
Super-Junction Device Forward Characteristics and Switched Power Limitations
Silicon/Oxide/Silicon Carbide (SiOSiC) - A New Approach to High-Voltage, High-Frequency Integrated Circuits
High-Power SiC Diodes: Characteristics, Reliability and Relation to Material Defects
High-Temperature Performance of 10 Kilovolts, 200 Amperes (Pulsed) 4H-SiC PiN Rectifiers
Study of SiC PiN Diodes Subjected to High Current Density Pulses
High-Voltage SiC pn Diodes with Avalanche Breakdown Fabricated by Aluminum or Boron Ion Implantation
Measurement and Device Simulation of Avalanche Breakdown in High-Voltage 4H-SiC Diodes Including the Influence of Macroscopic Defects
Microstructural Characterization of Recombination-Induced Stacking Faults in High-Voltage SiC Diodes
Electrical Characterization of High-Voltage 4H-SiC Diodes on High-Temperature CVD-Grown Epitaxial Layers
Study of 4H-SiC High-Voltage Bipolar Diodes under Reverse Bias Using Electrical and OBIC Characterization
Photon Emission Analysis of Defect-Free 4H-SiC pn Diodes in Avalanche Regime
Electroluminescence Analysis of Al+ and B+ Implanted pn Diodes
An Effective High-Voltage Termination for SiC Planar pn Junctions for Use in High-Voltage Devices and UV Detectors
A Novel Technology for the Formation of a Very Small Bevel Angle for Edge Termination
The Deep Boron Level in High-Voltage PiN Diodes
Electrical Characteristics of 4H-SiC pn Diode Grown by LPE Method
Highly-Doped Implanted pn Junction for SiC Zener Diode Fabrication
Unipolar and Bipolar SiC Integral Cascoded Switches with MOS and Junction Gate - Simulation Study
All-SiC Inductively-Loaded Half-Bridge Inverter Characterization of 4H-SiC Power BJTs up to 400V/22 A
Improvement and Analysis of Implanted-Emitter Bipolar Junction Transistors in 4H-SiC
On the Temperature Coefficient of 4H-SiC npn Transistor Current Gain
Investigation of Thermal Properties in Fabricated 4H-SiC High-Power Bipolar Transistors
Hybrid MOS-Gated Bipolar Transistor Using 4H-SiC BJT
A Novel, Planar 3,000 V Normally-Off Field Gated Bipolar Transistor in 4H-SiC
Dynamic Performance of 3.1 kV 4H-SiC Asymmetrical GTO Thyristors
4H-SiC IMPATT Diode Fabrication and Testing
Demonstration of IMPATT Diode Oscillators in 4H-SiC
Influence of Semi-Insulating Substrate Purity on the Output Characteristics of 4H-SiC MESFETs
Development and Demonstration of High-Power X-Band SiC MESFETs
Hot-Carrier Luminescence in 4H-SiC MESFETs
High-Performance Silicon Carbide MESFET Utilizing Lateral Epitaxy
Influence of Gate Finger Width on RF Characteristics of 4H-SiC MESFET
Fabrication of 4H-SiC Planar MESFETs Having Low Contact Resistance
Surface Control of 4H-SiC MESFETs
Characteristics of MESFETs Made by Ion-Implantation in Bulk Semi-Insulating 4H-SiC
Evaluation of SiC MESFET Structures Using Large-Signal Time-Domain Simulations
4H-SiC MESFET Large-Signal Modeling Using Modified Materka Model
Compatibility of VJFET Technology with MESFET Fabrication and Its Interest for System Integration: Fabrication of 6H and 4H-SiC 110 V Lateral MESFET
The Development of Ultra-High Frequency Power 6H-SiC Vertical Static Induction Transistor with p-n Junction as a Gate
Silicon Carbide Microwave Limiters
MISiCFET Chemical Gas Sensors for High Temperature and Corrosive Environment Applications
The Effect of Hydrogen Diffusion in p- and n-Type SiC Schottky Diodes at High Temperatures
Influence of Epitaxial Layer on SiC Schottky Diode Gas Sensors Operated under High-Temperature Conditions
A New Type of SiC Gas Sensor with a pn-Junction Structure
Demonstration of 4H-SiC Avalanche Photodiode Linear Array
4H-SiC Material for Hall Effect and High-Temperature Sensors Working in Harsh Environments
N and p Type 6H-SiC Films for the Creation Diode and Triode Structure of Nuclear Particle Detectors
Sublimation Growth of Bulk AlN Crystals: Materials Compatibility and Crystal Quality
Crystal Growth of Aluminum Nitride by Sublimation Close Space Technique
Aluminium Nitride Bulk Crystals by Sublimation Method: Growth and X-Ray Characterization
Heteroepitaxial Growth of Insulating AlN on 6H-SiC by MBE
RHEED Studies of In Effect on the N-Polarity GaN Surface Kinetics Modulation in Plasma-Assisted Molecular-Beam Epitaxy
Surface Morphology of GaN Epilayer with SixN1-x Buffer Layer Grown by Ammonia-source MBE
Growth of AlN Films by Hot-Wall CVD and Sublimation Techniques: Effect of Growth Cell Pressure
GaN Grown by Hydride - Metal Organic Vapor Phase Epitaxy (H-MOVPE) on Lattice-Matched Oxide and Silicon Substrates
Growth and Characterization of GaGdN and AlGdN on SiC by RF-MBE
Growth of Epitaxial (SiC)x(AlN)1-x Thin Films on 6H-SiC by Ion-Assisted Dual Magnetron Sputter Deposition
Silicon Carbide Buffer Layers for Nitride Growth on Si
Crystallographic Growth Models of Wurtzite-Type Thin Films on 6H-SiC
Photoluminescence and Electroluminescence Characterization of InxGa1-xN/InyGa1-yN Multiple Quantum Well Light Emitting Diodes
Photoreflectance Characterization of GaNAs/GaAs Multiple Quantum Well Structures
Raman Scattering from Wurtzite GaN Bulk Crystal
Recent Progress of AlGaN/GaN Heterojunction FETs for Microwave Power Applications
High Performance AlGaN/GaN HEMTs with Recessed Gate
Broadband Push-Pull Microwave Power Amplifier Using AlGaN/GaN HEMTs on SiC
Temperature Dependence of DC Characteristics in AlN/GaN Metal Insulator Semiconductor Field Effect Transistor
Thermal Analysis of GaN-Based HFET Devices Using the Unit Thermal Profile Approach
AlGaN/GaN Hetero Field-Effect Transistor for a Large Current Operation
Gallium Nitride Power Device Design Tradeoffs
Gallium Nitride Metal-Insulator-Semiconductor Capacitors Using Low-Pressure Chemical Vapor Deposited Oxides

Reihe/Serie Materials Science Forum ; Volumes 389-393
Verlagsort Zurich
Sprache englisch
Maße 170 x 240 mm
Gewicht 3500 g
Einbandart kartoniert
Themenwelt Naturwissenschaften Physik / Astronomie
Technik Elektrotechnik / Energietechnik
Technik Maschinenbau
ISBN-10 0-87849-894-X / 087849894X
ISBN-13 978-0-87849-894-9 / 9780878498949
Zustand Neuware
Haben Sie eine Frage zum Produkt?
Mehr entdecken
aus dem Bereich
von den Werkzeugen über Methoden zum TQM

von Holger Brüggemann; Peik Bremer; Stefan Zischka

Buch | Softcover (2024)
Springer Fachmedien (Verlag)
32,99
Problem Solving with Python

von Rubin H. Landau; Manuel J. Páez …

Buch | Softcover (2024)
Wiley-VCH (Verlag)
109,00