MEMS Materials and Processes Handbook (eBook)

Reza Ghodssi, Pinyen Lin (Herausgeber)

eBook Download: PDF
2011 | 2011
XXXVI, 1188 Seiten
Springer US (Verlag)
978-0-387-47318-5 (ISBN)

Lese- und Medienproben

MEMS Materials and Processes Handbook -
Systemvoraussetzungen
249,00 inkl. MwSt
  • Download sofort lieferbar
  • Zahlungsarten anzeigen

MEMs Materials and Processes Handbook' is a comprehensive reference for researchers searching for new materials, properties of known materials, or specific processes available for MEMS fabrication. The content is separated into distinct sections on 'Materials' and 'Processes'. The extensive Material Selection Guide' and a 'Material Database' guides the reader through the selection of appropriate materials for the required task at hand. The 'Processes' section of the book is organized as a catalog of various microfabrication processes, each with a brief introduction to the technology, as well as examples of common uses in MEMs.


MEMs Materials and Processes Handbook"e; is a comprehensive reference for researchers searching for new materials, properties of known materials, or specific processes available for MEMS fabrication. The content is separated into distinct sections on "e;Materials"e; and "e;Processes"e;. The extensive Material Selection Guide"e; and a "e;Material Database"e; guides the reader through the selection of appropriate materials for the required task at hand. The "e;Processes"e; section of the book is organized as a catalog of various microfabrication processes, each with a brief introduction to the technology, as well as examples of common uses in MEMs.

Foreword 6
Preface 7
Contents 10
Contributors 31
1 The MEMS Design Process 34
1.1 Introduction 34
1.1.1 Design Process 38
1.2 Design Methods for MEMS 40
1.2.1 History of Design Methodologies 40
1.2.2 Structured Design Methods for MEMS 41
1.3 Brainstorming 42
1.4 Microphone Case Studies 43
1.4.1 Microphone Background 43
1.4.2 The Avago Story 44
1.4.2.1 Design Process and Methods 44
1.4.3 The Knowles Story 53
1.4.4 Summary of Key Concepts 55
1.5 Materials and Process Selection 56
1.5.1 Materials Selection 56
1.5.2 Process Selection 56
1.6 Evaluate Concepts 63
1.6.1 Modeling 63
1.7 Optimization and Other Design Methods 64
1.7.1 Design Optimization 64
1.7.2 Uncertainty Analysis 64
1.7.3 FMEA 64
1.7.4 Design Method Timing 65
1.8 Summary 66
References 66
2 Additive Processes for Semiconductors and Dielectric Materials 70
2.1 Overview 70
2.2 Thermal Conversion 71
2.2.1 Process Overview 71
2.2.2 Material Properties and Process Selection Guide for Thermal Oxidation of Silicon 76
2.2.3 Case Studies 78
2.3 Chemical Vapor Deposition 78
2.3.1 Process Overviews 78
2.3.1.1 Introduction 78
2.3.1.2 Low Pressure Chemical Vapor Deposition 80
2.3.1.3 Plasma-Enhanced Chemical Vapor Deposition 83
2.3.1.4 Atmospheric Pressure Chemical Vapor Deposition 85
2.3.1.5 Hot Filament Chemical Vapor Deposition 86
2.3.1.6 Microwave Plasma Chemical Vapor Deposition 86
2.3.2 LPCVD Polycrystalline Silicon 86
2.3.2.1 Material Properties and Process Generalities 86
2.3.2.2 Process Selection Guidelines 88
2.3.2.3 Case Studies 89
2.3.3 LPCVD Silicon Dioxide 98
2.3.3.1 Material Properties and Process Generalities 98
2.3.3.2 Process Selection Guidelines 99
2.3.3.3 Case Studies 100
2.3.4 LPCVD Silicon Nitride 102
2.3.4.1 Material Properties and Process Generalities 102
2.3.4.2 Process Selection Guidelines 103
2.3.4.3 Case Studies 103
2.3.5 LPCVD Polycrystalline SiGe and Ge 106
2.3.5.1 Material Properties and Process Generalities 106
2.3.5.2 Process Selection Guidelines 108
2.3.6 LPCVD Polycrystalline Silicon Carbide 108
2.3.6.1 Material Properties and Process Generalities 108
2.3.6.2 Process Selection Guidelines 112
2.3.6.3 Case Studies 112
2.3.7 LPCVD Diamond 118
2.3.7.1 Material Properties and Process Generalities 118
2.3.7.2 Process Selection Guidelines 119
2.3.7.3 Case Studies 119
2.3.8 APCVD Polycrystalline Silicon Carbide 122
2.3.8.1 Material Properties and Process Generalities 122
2.3.8.2 Process Selection Guidelines 122
2.3.9 PECVD Silicon 122
2.3.9.1 Material Properties and Process Generalities 122
2.3.9.2 Process Selection Guidelines 124
2.3.10 PECVD Silicon Dioxide 124
2.3.10.1 Material Properties and Process Generalities 124
2.3.10.2 Process Selection Guidelines 124
2.3.11 PECVD Silicon Nitride 128
2.3.11.1 Material Properties and Process Generalities 128
2.3.11.2 Process Selection Guidelines 128
2.3.12 PECVD Silicon Germanium 128
2.3.12.1 Material Properties and Process Generalities 128
2.3.12.2 Process Selection Guidelines 130
2.3.12.3 Case Studies 130
2.3.13 PECVD Silicon Carbide 134
2.3.13.1 Material Properties and Process Generalities 134
2.3.13.2 Process Selection Guidelines 135
2.3.13.3 Case Studies 135
2.3.14 PECVD Carbon-Based Films 137
2.3.14.1 Material Properties and Process Generalities 137
2.3.14.2 Process Selection Guidelines 137
2.4 Epitaxy 138
2.4.1 Process Overviews 138
2.4.2 Epi-Polysilicon 139
2.4.2.1 Material Properties and Process Generalities 139
2.4.2.2 Process Selection Guidelines 140
2.4.2.3 Case Studies 140
2.4.3 Epitaxial Silicon Carbide 141
2.4.3.1 Material Properties and Process Generalities 141
2.4.3.2 Process Selection Guidelines 142
2.4.3.3 Case Studies 142
2.4.4 III-V Materials and Gallium Nitride 144
2.4.4.1 Material Properties and Process Generalities 144
2.4.4.2 Process Selection Guidelines 144
2.4.4.3 Case Studies 144
2.5 Physical Vapor Deposition 147
2.5.1 Process Overviews 147
2.5.2 Sputter-Deposited Si 148
2.5.2.1 Material Properties and Process Generalities 148
2.5.2.2 Process Selection Guidelines 149
2.5.3 Sputter-Deposited SiC 149
2.5.4 Sputter-Deposited SiO2 150
2.5.5 Sputter-Deposited Diamondlike Carbon 151
2.5.6 Carbon Films Deposited by Pulsed Laser Deposition 151
2.6 Atomic Layer Deposition 152
2.6.1 Process Overview 152
2.6.2 Process Selection Guidelines and Material Properties 153
2.7 Spin-On Films 154
References 156
3 Additive Processes for Metals 170
3.1 Introduction 170
3.1.1 Overview 171
3.1.2 Fabrication Tradeoffs 172
3.2 Physical Vapor Deposition 173
3.2.1 Evaporation 173
3.2.1.1 Thermal Evaporation 174
3.2.1.2 E-Beam Evaporation 175
3.2.1.3 Issues with Alloys 175
3.2.2 Sputtering 175
3.2.2.1 DC Sputtering 176
3.2.2.2 RF Sputtering 177
3.2.2.3 Step Coverage 177
3.2.2.4 Other Issues in Sputtering 178
3.2.3 Pulsed Laser Deposition 179
3.3 Electrochemical Deposition 180
3.3.1 Electroplating 180
3.3.1.1 Electrochemical Reactions 180
3.3.1.2 Deposition Process 182
3.3.1.3 Overpotential 185
3.3.1.4 Bath Composition 186
3.3.1.5 Current Waveform 186
3.3.1.6 Equipment 188
3.3.1.7 Process Flow 190
3.3.1.8 Nickel 191
3.3.1.9 Copper 192
3.3.1.10 Gold 192
3.3.1.11 Nickel Alloys 194
3.3.2 Electroless Plating 195
3.3.2.1 Nickel 197
3.3.2.2 Copper 199
3.3.2.3 Gold 201
3.3.3 Comparison of Electroplating and Electroless Plating 202
3.4 LIGA and UV-LIGA Processes 202
3.4.1 Process Explanation 203
3.4.2 Electroplating in LIGA and UV-LIGA Microstructures 204
3.4.3 Multilevel Metal Structures 206
3.5 Materials Properties and Process Selection Guidelines for Metals 212
3.5.1 Adhesion 212
3.5.2 Electrical Properties 213
3.5.3 Mechanical Properties 215
3.5.4 Thermal Properties 216
3.5.5 Magnetic Properties 217
References 219
4 Additive Processes for Polymeric Materials 225
4.1 SU-8 225
4.1.1 Material Properties 227
4.1.2 Processing Variations 228
4.1.2.1 Partial Exposure 228
4.1.2.2 Direct Writing 229
4.1.2.3 Removal of SU-8 229
4.1.2.4 Release of SU-8 230
4.1.2.5 Bonding 230
4.1.2.6 Transfer 231
4.1.2.7 SU-8 as an Etch Mask 231
4.1.3 Lessons Learned 231
4.1.4 Examples of SU-8 Application 233
4.2 PDMS 233
4.2.1 Material Properties 234
4.2.2 Processing Techniques 235
4.2.3 Biological Application Guide 237
4.2.3.1 Stamp Material for Protein Transfer: Microcontact Printing 238
4.2.3.2 Microfluidic Devices 238
4.2.4 Case Study 240
4.3 Polyimide 244
4.3.1 Material Properties 244
4.3.2 Processing Variations 245
4.3.2.1 Removal of Polyimide 245
4.3.2.2 Release of Polyimide 245
4.3.2.3 Bonding 247
4.3.3 Lessons Learned 247
4.3.4 Case Study 248
4.4 Hydrogels 248
4.4.1 Gelatin 248
4.4.2 Chitosan 250
4.4.3 Polyethylene Glycol 252
4.4.4 Case Studies 254
4.5 Parylene 255
4.5.1 Material Properties 256
4.5.2 Processing Techniques 257
4.5.3 Lessons Learned 258
4.5.4 Case Study 258
4.6 Conductive Polymers 259
4.6.1 Material Properties 260
4.6.2 Actuation Mechanism and Theories 261
4.6.3 Applications 262
4.6.3.1 Actuators 262
4.6.3.2 Conducting Polymer as a Strain Gauge Material 263
4.6.4 Processing Techniques 263
4.6.4.1 Deposition 263
4.6.4.2 Patterning 264
4.6.4.3 Release 264
4.6.4.4 Process Considerations 265
4.6.5 Case Study 265
4.7 Other Polymers 267
4.7.1 Benzocyclobutene 267
4.7.2 Liquid Crystal Polymer 270
4.8 Polymers for Embossing and Molding 271
4.8.1 Technical Overview 271
4.8.2 Substrate Material Selection 273
4.8.2.1 Polymethylmethacrylate 273
4.8.2.2 Polycarbonate 274
4.8.2.3 Polytetrafluoroethylene 274
4.8.2.4 Cyclic Olefin Copolymer 274
4.8.3 Tool Selection 274
4.8.4 Mold Material Selection and Fabrication 275
4.8.4.1 Silicon 275
4.8.4.2 Nickel 276
4.8.4.3 SU-8 277
4.8.5 Conventional Machining of Molds 278
4.8.5.1 Milling 278
4.8.5.2 Laser 279
4.8.5.3 Focused Ion Beam 279
4.8.5.4 Fixture of Molds 279
4.8.5.5 Release Coatings 279
4.8.6 Process Development 279
4.8.7 Minimum Substrate Thickness 281
4.9 Materials Properties 282
References 282
5 Additive Processes for Piezoelectric Materials: Piezoelectric MEMS 304
5.1 Introduction to Piezoelectric Thin Films 304
5.1.1 Direct and Converse Piezoelectricity 306
5.1.2 Materials -- Ferroelectrics and Nonferroelectrics 307
5.1.3 Fundamental Design Equations and Models 312
5.1.3.1 Linear Constitutive Equations of Piezoelectricity 312
5.1.3.2 Electromechanical Coupling Factors 313
5.1.3.3 Influence of Boundary Conditions 315
5.1.3.4 Device Configurations 316
5.1.3.5 Free Strain and Blocking Force 318
5.1.3.6 Cantilever Unimorph Model 319
5.1.3.7 Actuator Force Generation Against External Loads 322
5.1.3.8 Piezoelectric Sensing 323
5.1.3.9 Equivalent Circuit Models 325
5.1.3.10 Thin-Film Ferroelectric Nonlinearity 326
5.1.3.11 Heat Generation 330
5.1.4 Materials Selection Guide 330
5.1.5 Applications 331
5.2 Polar Materials: AlN and ZnO 332
5.2.1 Material Deposition 332
5.2.2 Patterning Techniques 336
5.2.3 Device-Design Concerns 338
5.2.4 Device Examples 340
5.2.5 Case Study 344
5.3 Ferroelectrics: PZT 349
5.3.1 Material Deposition 349
5.3.2 Patterning Techniques 355
5.3.3 Device Design Concerns 359
5.3.4 Device Examples 364
5.3.5 Case Study on the Design and Processing of a RF MEMS Switch Using PZT Thin-Film Actuators 369
5.4 Summary 374
References 375
6 Materials and Processes in Shape Memory Alloy 385
6.1 Introduction and Principle 385
6.1.1 Basic Principle 385
6.1.2 Introduction of TiNi and TiNi-Base Ternary Alloys 387
6.1.3 Super-Elasticity 389
6.1.4 One-Way Type, Two-Way Type, All-Round-Way Type 389
6.2 Materials Properties and Fabrication Process of SMA Actuators 390
6.2.1 Bulk Material 391
6.2.2 Thin Film 391
6.2.2.1 Sputtering 392
6.2.2.2 Evaporation 393
6.2.2.3 Non-planar Thin Film Deposition 393
6.2.3 Micromachining 394
6.2.4 Etching and Lift-Off 395
6.2.4.1 Case and Example 395
6.2.5 Assembly 399
6.2.5.1 Mechanical Fixation 399
6.2.5.2 Adhesion 399
6.2.5.3 Welding 399
6.2.5.4 Soldering 401
6.2.6 Materials and Processes Selection Guidance 401
6.2.6.1 Materials (Bulk/Thin Film) 401
6.2.6.2 Process 405
6.3 Applications and Devices 408
6.3.1 Medical 408
6.3.1.1 Stents 408
6.3.1.2 Endoscopes 408
6.3.1.3 Catheters 409
6.3.1.4 Micro Clips and Grippers 414
6.3.2 Fluidic Devices 417
6.3.3 Optical Fiber Switch 420
6.3.4 Tactile Pin Display 420
6.3.5 AFM Cantilever 422
6.3.6 Case Studies and Lessons Learned 423
6.3.6.1 Designs 423
6.3.6.2 Heating and Cooling 424
6.4 Summary 427
References 428
7 Dry Etching for Micromachining Applications 433
7.1 Dry Etching 434
7.1.1 Etch Metrics 434
7.2 Plasma Etching 437
7.2.1 Types of Etching 438
7.2.2 Plasma Sources 442
7.3 Plasma Process Parameters and Control 448
7.3.1 Energy-Driven Anisotropy 449
7.3.2 Inhibitor-Driven Anisotropy 450
7.3.3 Selectivity in Plasma Etching 451
7.4 Case Study: Etching Silicon, Silicon Dioxide, and Silicon Nitride 452
7.5 Case Study: High-Aspect-Ratio Silicon Etch Process 457
7.5.1 Cryogenic Dry Etching 458
7.5.2 Bosch Process 459
7.5.3 Understanding Trends for DRIE Recipe Development 462
7.6 High-Aspect-Ratio Etching of Piezoelectric Materials 464
7.6.1 Case Study: High-Aspect-Ratio Etching of Glass (Pyrex®) and Quartz 464
7.6.2 High-Aspect-Ratio Etching of Piezoelectric Materials 468
7.7 Etching of Compound Semiconductors 471
7.7.1 Case Study: Etching of GaAs and AlGaAs 471
7.7.2 Case Study: Etching of InP, InGaAs, InSb, and InAs 474
7.8 Case Study: Ion Beam Etching 476
7.9 Summary 479
References 482
8 MEMS Wet-Etch Processes and Procedures 487
8.1 Introduction 488
8.2 Principles and Process Architectures for Wet Etching 490
8.2.1 Surface Reactions and Reactant/Product Transport 494
8.2.2 Etchant Selectivity and Masking Considerations 497
8.2.3 Direct Etching and Liftoff Techniques 499
8.2.4 Sacrificial Layer Removal 500
8.2.5 Substrate Thinning and Removal 501
8.2.6 Impact on Process Architecture 502
8.2.7 Process Development for Wet Etches 503
8.2.8 Additional Considerations and Alternatives 506
8.3 Evaluation and Development of Wet-Etch Facilities and Procedures 509
8.3.1 Facility Requirements 509
8.3.1.1 General Facilities 509
8.3.1.2 Wet-Bench Services 510
8.3.1.3 Wet-Bench Equipment 510
8.3.1.4 Safety 511
8.3.2 Wafer Handling Considerations 512
8.3.3 Safety Concerns 513
8.3.4 Training 513
8.4 IC-Compatible Materials and Wet Etching 514
8.4.1 Oxide and Dielectric Etching 514
8.4.2 Silicon, Polysilicon, and Germanium Isotropic Etching 522
8.4.3 Standard Metal Etching 525
8.4.4 Photoresist Removal Techniques and Wafer Cleaning Processes 531
8.4.5 Examples: Wet Chemical Etching of IC-Compatible Materials 543
8.4.5.1 Example 1: Wet Etch of Low-Temperature Oxide 544
8.4.5.2 Example 2: Wet Etch of Silicon Nitride on Silicon 545
8.4.5.3 Example 3: Sacrificial Etch of Deposited Polysilicon Under a Structural Layer of Stress-Controlled Silicon Nitride 545
8.4.5.4 Example 4: Aluminum Etching over Patterned Nitride, Oxide, and Silicon 545
8.4.5.5 Example 5: Junction Depth Determination for an Integrated MEMS Device 545
8.5 Nonstandard Materials and Wet Etching 546
8.5.1 Nonstandard Dielectric, Semiconductor, and Metal Etching 547
8.5.2 Plastic and Polymer Etching 547
8.5.3 Examples: Wet Chemical Etching of Nonstandard Materials 600
8.5.3.1 Example 1: BCB Patterning and Etching 600
8.5.3.2 Example 2: COC Patterning and Solvent Bonding 609
8.5.3.3 Example 3: LIGA Mold Removal 609
8.6 Anisotropic Silicon Etching and Silicon Etch Stops 609
8.6.1 Anisotropic Etching of Silicon 611
8.6.2 Heavily Doped Silicon Etch Stops 612
8.6.3 Lightly Doped Silicon and Silicon--Germanium Etch Stops 619
8.6.4 Ion-Implanted Silicon Etch Stops 619
8.6.5 Electrochemical Etching and Electrochemical Etch Stops 625
8.6.6 Photoassisted Silicon Etching and Etch Stops 627
8.6.7 Thin-Film Etch Stops 631
8.6.8 Examples: Wet Chemical and Electrochemical Etch Stops 633
8.6.8.1 Example 1: Anisotropic Silicon Etching of an SOI Wafer 633
8.6.8.2 Example 2: Heavy Boron-Doped Etch Stop 634
8.6.8.3 Example 3: Electrochemical Etch Stop 634
8.7 Sacrificial Layer Etching 638
8.7.1 Sacrificial Layer Removal Techniques 640
8.7.2 Sacrificial Oxide Removal for Polysilicon Microstructures 641
8.7.3 Alternative Sacrificial and Structural Layer Combinations 641
8.7.4 Etch Accelerator Layers for Enhanced Sacrificial Layer Removal 647
8.7.5 Rinse Liquid Removal and Antistiction Coatings 649
8.7.6 Examples: Sacrificial Layer Removal and Structural Layer Release 650
8.7.6.1 Example 1: Fine-Grain Stress-Controlled Polysilicon with an Oxide Sacrificial Layer 650
8.7.6.2 Example 2: Poly-SiGe on a Patterned Oxide/Nitride Laminate 650
8.7.6.3 Example 3: Silicon Nitride on a Polysilicon Sacrificial Layer 653
8.7.6.4 Example 4: Aluminum on Photoresist 653
8.8 Porous Silicon Formation with Wet Chemistry 653
8.8.1 Nanoporous, Mesoporous, and Macroporous Silicon Formation 654
8.8.2 Selective Porous Silicon Removal 655
8.8.3 Examples: Porous Silicon Formation 655
8.8.3.1 Example 1: Chemical Porous Silicon Formation 655
8.8.3.2 Example 2: Nanoporous Silicon Formation 658
8.8.3.3 Example 3: Mesoporous Silicon Formation 658
8.8.3.4 Example 4: Macroporous Silicon Formation 659
8.9 Layer Delineation and Defect Determination with Wet Etchants 659
8.9.1 Dopant Level and Defect Determination with Wet Etchants 660
8.9.2 Layer Delineation with Wet Etchants 666
8.9.3 Examples: Layer Delineation and Defect Determination 667
8.9.3.1 Example 1: Metallurgical Junction Determination 667
8.9.3.2 Example 2: Cross-Sectioning and Layer Delineation 667
References 668
9 MEMS Lithography and Micromachining Techniques 696
9.1 Overview 696
9.2 UV Lithography 701
9.2.1 Photo Masks 701
9.2.2 Optical Projection Systems 706
9.2.2.1 Contact Aligner 706
9.2.2.2 Stepper 710
9.2.3 Photoresist 711
9.2.3.1 Positive Photoresist 713
9.2.3.2 Negative Photoresist 715
9.2.3.3 Image Reversal for Positive Resist (Converting Positive Resist into a Negative Resist) 716
9.2.4 Substrate 717
9.2.5 Processing Steps for UV Lithography 717
9.2.5.1 Deposit Photoresist 717
9.2.5.2 Expose Photoresist 719
9.2.5.3 Develop Photoresist 720
9.2.5.4 Transfer Pattern 720
9.2.5.5 Remove Photoresist 721
9.3 Grayscale Lithography 722
9.3.1 Photomask Pixelation 725
9.3.2 Photoresist Properties for Grayscale Lithography 726
9.3.2.1 Contrast and Thickness 726
9.3.2.2 Exposure and Developing Times 726
9.3.2.3 Etch Selectivity 727
9.4 X-Ray Lithography 727
9.4.1 X-Ray Masks 729
9.4.2 X-Ray Photoresists 731
9.4.3 Exposure 731
9.4.4 Development 732
9.5 Direct-Write Lithography 733
9.5.1 E-Beam Lithography 733
9.5.2 Ion Beam Lithography and Focused Ion Beam (FIB) 737
9.5.3 Gas-Assisted Electron and Ion Beam Lithography 739
9.5.4 Dip-Pen Lithography (DPN) 740
9.5.5 Direct-Write Laser 741
9.5.6 Stereolithography and Microstereolithography 743
9.6 Print/Imprint Lithography 745
9.6.1 Inkjet Printing 748
9.6.2 Soft Lithography 749
9.6.3 Nanoimprint Lithography (NIL) 749
9.6.4 Transfer Printing 751
9.7 Case Studies 754
9.7.1 Case Study 1: Substrate Cleaning-RCA Clean(s) 754
9.7.1.1 Recipe Steps 755
9.7.1.2 Notes 756
9.7.2 Case Study 2: Substrate Cleaning, O2 Plasma Clean 756
9.7.2.1 Recipe Steps 756
9.7.2.2 Note 756
9.7.3 Case Study 3: Substrate Cleaning, Solvent Clean 757
9.7.3.1 Recipe Steps 757
9.7.3.2 Note 757
9.7.4 Case Study 4: Positive Photoresist Processing: General Processing for Shipley 1800 Series Photoresist 757
9.7.4.1 Recipe Steps 757
9.7.5 Case Study 5: Positive Photoresist Processing: Specific Processing for Shipley S1813 758
9.7.5.1 Recipe Steps 758
9.7.6 Case Study 6: Positive Photoresist Processing: Specific Processing for OiR 906-10 759
9.7.6.1 Recipe Steps 759
9.7.6.2 Notes 760
9.7.7 Case Study 7: Negative Photoresist Processing: Specific Processing for NR7-1500PY 760
9.7.7.1 Recipe Steps 760
9.7.7.2 Note 1 761
9.7.7.3 Note 2 762
9.7.8 Case Study 8: E-Beam Lithography 762
9.7.8.1 Notes on Using the NPGS Software 764
9.7.9 Case Study 9: Fabrication of PDMS Templates 764
9.7.10 Case Study 10: Photomask Fabrication [226, 227] 765
9.7.10.1 Photomask Defects 767
9.7.10.2 Grayscale Lithography Pixelated Photomasks 768
9.7.10.3 Mask Manufacturers 769
9.7.11 Case Study 11: Multiphoton Absorption Polymerization (MAP) 769
9.7.12 Case Study 12: Lithography Using Focused Ion Beams 770
References 772
10 Doping Processes for MEMS 783
10.1 Overview 783
10.2 Applications 784
10.2.1 Electrical Properties 784
10.2.2 Etch Stop Techniques 793
10.2.3 Materials and Process Selection Guidelines: Etch Stop Techniques 799
10.3 In Situ Doping 802
10.3.1 Chemical Vapor Deposition 802
10.3.2 Crystal Growth and Epitaxy 805
10.4 Diffusion 809
10.4.1 Gas Phase Diffusion 811
10.4.2 Solid State Diffusion 812
10.4.3 Masking Materials 814
10.4.4 Modeling 815
10.5 Ion Implantation 816
10.5.1 Equipment 818
10.5.2 Masking Materials 820
10.5.3 Modeling 821
10.5.4 Crystal Damage 821
10.5.5 Buried Insulator Layers 823
10.5.6 Case Study: Heavily Doped Polysilicon 823
10.6 Plasma Doping Processes 826
10.7 Dopant Activation Methods 828
10.7.1 Conventional Annealing Methods 828
10.7.2 Rapid Thermal Processes 830
10.7.3 Low-Temperature Activation 831
10.7.4 Process Selection Guide: Dopant Activation 831
10.7.5 Case Study: Rapid Thermal Anneal Versus Conventional Thermal Anneal 832
10.8 Diagnostics 833
10.8.1 Electrical Measurements 834
10.8.2 Junction Staining Techniques 837
10.8.3 SIMS 838
10.8.4 Case Study: Characterizing Junctions and Diagnosing Implant Anomalies 838
References 840
11 Wafer Bonding 844
11.1 Introduction 844
11.2 Direct Wafer Bonding 848
11.2.1 Background and Physics 849
11.2.2 Parameters for Successful Direct Wafer Bonding 851
11.2.2.1 Surface Roughness 851
11.2.2.2 Waviness or Nanotopography 853
11.2.2.3 Wafer Shape 853
11.2.3 Recommendations for Successful Direct Wafer Bonding 853
11.2.4 Procedure of Direct Wafer Bonding 855
11.2.4.1 Surface Preparation for Direct Wafer Bonding 855
11.2.4.2 Bonding Step -- By Hand or by Using a Wafer Bonding Tool 859
11.2.4.3 Basic Operation Principle of a Wafer Bonding Tool 862
11.2.4.4 Inspection Before Heat Treatment 864
11.2.4.5 Thermal Treatment to Increase the Bond Strength 865
11.2.4.6 Remaining Fabrication Process for MEMS Device 867
11.2.5 Anodic Bonding 867
11.2.6 Silicon--Glass Laser Bonding 872
11.3 Wafer Bonding with Intermediate Material 873
11.3.1 Thermocompression Bonding 873
11.3.2 Eutectic Bonding 873
11.3.3 Polymer Bonding 874
11.4 Direct Comparison of Wafer Bonding Techniques 881
11.5 Bonding of Heterogeneous Compounds 881
11.6 Wafer Bonding Process Integration 883
11.6.1 Localized Wafer Bonding 883
11.6.2 Through Wafer via Technology 884
11.7 Characterization Techniques for Wafer Bonding 890
11.8 Existing Wafer Bonding Infrastructure 893
11.8.1 Wafer Bonding Services 894
11.8.2 Bonding Tool Vendors 894
11.8.2.1 Applied Microengineering Ltd (AML), UK 895
11.8.2.2 EV Group (EVG), Austria 896
11.8.2.3 Mitsubishi Heavy Industries Ltd. (MHI), Japan 897
11.8.2.4 SUSS MicroTec AG, Germany 898
11.9 Summary and Outlook 899
References 900
12 MEMS Packaging Materials 905
12.1 MEMS Packages and Applications 905
12.1.1 Packaging Classes 906
12.1.2 MEMS Versus Microcircuit or Integrated Circuit Packaging 907
12.1.3 Application Drivers and Interfaces 907
12.1.4 Interfaces to Other System Components 908
12.1.4.1 Power and Signals Interface 909
12.1.4.2 Optical Interface 909
12.1.4.3 Microfluidic Interface 910
12.1.4.4 Environmental Interface 911
12.2 Package Selection 911
12.2.1 Metal 912
12.2.2 Ceramic 914
12.2.3 Plastic 917
12.2.4 Array Packaging Materials/Wafer Level Packaging 918
12.2.5 Custom Packaging 918
12.2.6 Silicon Encapsulation 918
12.2.7 Glass Encapsulation 919
12.3 Lids and Lid Seals 919
12.3.1 Optical Applications 920
12.4 Die Attach Materials and Processes 920
12.4.1 Conductive Die Attach 921
12.4.2 Metal-Filled Glasses and Epoxies 922
12.4.3 Other Die Attach Materials 922
12.4.4 Flip-Chip Bonding 923
12.4.5 Tape Interconnects 924
12.5 Wire Bonding 925
12.5.1 Gold Wire Bonding 925
12.5.1.1 Au-Al System 926
12.5.1.2 Au-Ag System 927
12.5.1.3 Au-Au System 927
12.5.1.4 Au-Cu System 927
12.5.2 Aluminum Systems 927
12.5.2.1 Al-Al System 928
12.5.2.2 Al-Ag System 928
12.5.2.3 Al-Ni System 928
12.5.3 Copper Systems 928
12.6 Electrical Connection Processes 928
12.7 Encapsulation 929
12.7.1 Polyurethane 929
12.7.2 Polyimide 929
12.7.3 Polydimethylsiloxane (PDMS) 930
12.7.4 Epoxy 930
12.7.5 Fluorocarbon (Polytetrafluoroethylene) 931
12.7.6 Acrylic (PMMA) 931
12.7.7 Parylene 931
12.7.8 Liquid Crystal Polymer 932
12.8 Electrical and Thermal Requirements 932
12.8.1 Electrical Considerations 932
12.8.2 Thermal Considerations 933
12.9 Hermeticity and Getter Materials 934
12.9.1 Hermeticity and Pressurized Packaging 934
12.9.2 Hermeticity and Vacuum Packaging 934
12.10 Quality and Reliability 934
12.10.1 MEMS Packaging Reliability Concerns 935
12.10.1.1 Thermal Effects 936
12.10.1.2 Shock and Vibration 937
12.10.1.3 Humidity 937
12.10.2 MEMS Packaging and Quality Assurance 938
12.11 Case Studies 938
12.11.1 MEMS Accelerometer 940
12.11.2 Micro-mirror Array 941
12.11.3 MEMS Microphone 942
12.11.4 MEMS Shutters 942
12.12 Summary 944
References 946
13 Surface Treatment and Planarization 950
13.1 Release Processes and Surface Treatments to Prevent Stiction 951
13.1.1 Wet Chemical Release Techniques 953
13.1.2 Dry Release Techniques 953
13.2 Surface Analysis 954
13.2.1 Surface Chemical Composition 954
13.2.1.1 X-Ray Photoelectron Spectroscopy (XPS or ESCA) 954
13.2.1.2 Scanning Auger Electron Spectroscopy (AES) 955
13.2.1.3 Energy Dispersive X-Ray Spectroscopy (EDS or EDX) 956
13.2.1.4 Secondary Ion Mass Spectroscopy (SIMS) 957
13.2.2 Surface Structure and Morphology 957
13.2.2.1 Atomic Force Microscopy (AFM) 957
13.2.2.2 Scanning Electron Microscopy (SEM) 958
13.2.3 Surface Energy Measurements 958
13.3 Adhesion and Friction of MEMS 959
13.3.1 Measurements of Adhesion and Friction 959
13.3.1.1 Cantilever Beam Array Technique 959
13.3.1.2 Double-Clamped Beam Array Technique 960
13.3.1.3 Friction Test Structures 961
13.3.2 Effects of Surface Roughness 961
13.4 Chemical Modification of MEMS Surfaces 961
13.4.1 Treatments for Low Surface Energy 961
13.4.2 Siloxane and Silane Treatments 962
13.4.3 Weakly Chemisorbed Surfactant Films 963
13.4.4 Materials Properties and Process Selection Guidance 964
13.5 Surface Considerations for Biological Applications 964
13.5.1 Surface Modification Techniques 966
13.5.2 Modification of Pristine Substrate Surfaces 967
13.5.2.1 Plasma Treatment 967
13.5.2.2 Physical Adsorption 968
13.5.2.3 Covalent Linkage 968
13.5.3 Modification of Pre-treated Substrate Surfaces 972
13.5.3.1 Chemistry of Hydroxyl Groups (R-OH: Alcohols) 973
13.5.3.2 Chemistry of Amino Groups (R--NH2: Amines) 975
13.5.3.3 Chemistry of Carboxyl Groups (R--COOH: Carboxylic Acids) 979
13.5.3.4 Chemistry of Mercapto Groups (R--SH Thiols)
13.5.3.5 Chemistry of Formyl Groups (R--CHO: Aldehydes) 984
13.5.4 Case Studies 987
13.5.4.1 Case Study 1: Promotion of Immobilized Bioactive Proteins' Biological Activity 988
13.5.4.2 Case Study 2: Effective Enhancement of Fluorescence Detection Efficiency Using Alternative Blocking Process in Protein Microarray Assays 989
13.5.4.3 Case Study 3: Control of Specific Reaction Kinetics Involving Bifunctional Cross-Linkers 989
13.5.4.4 Case Study 4: Surface Modification Using Elaborately Derivatized Functional Groups 992
13.5.4.5 Case Study 5: Surface Patterning by Microcontact Printing 993
13.6 Surface Coating for Optical Applications 994
13.6.1 Fundamentals of Optical Phenomena on Surface Coatings 995
13.6.1.1 Index Variation of Materials Versus Wavelength [108] 995
13.6.1.2 Fresnel Equation for Reflection [108] 1001
13.6.1.3 Principle of Antireflection (AR) [108] 1003
13.6.1.4 Principle of Absorption [108, 109] 1007
13.6.1.5 Surface Plasmon Resonance 1008
13.6.2 Material Properties and Process Selection Guidelines 1010
13.6.2.1 High Reflection Applications 1010
13.6.2.2 Antireflection Applications 1011
13.6.2.3 Considerations for Surface Smoothness and Roughness 1015
13.6.2.4 Polymer Materials for Optical Applications 1017
13.6.2.5 Surface Coatings for Polymer Materials 1017
13.6.2.6 Applications for Light Absorption 1023
13.7 Chemical Mechanical Planarization 1027
13.7.1 Overview 1027
13.7.1.1 Chemistry of CMP 1027
13.7.1.2 Mechanics of CMP 1029
13.7.2 Applications 1033
13.7.2.1 Smoothing and Local Planarization 1034
13.7.2.2 Global Planarization 1035
13.7.2.3 Trench Fill 1036
13.7.3 Pads and Slurry 1036
13.7.3.1 Summary of Slurry and Pad 1040
13.7.4 Polishing Considerations for Different Materials 1040
13.7.4.1 Rate Comparison and Selectivity 1040
13.7.4.2 Dielectrics 1045
13.7.4.3 Metals 1046
13.7.4.4 Polymers 1048
13.7.5 Cleaning and Contamination Control 1048
13.7.6 Case Study 1050
13.7.6.1 Case Study 10: Magnetic Microdevice 1051
13.7.6.2 Case Study 11: A Drug-Delivery Probe with an In-line Flow Meter 1051
13.7.6.3 Case Study 12: Nanomechanical Optical Devices 1054
13.7.6.4 Case Study 13: CMP of SU-8/Permalloy Combination in MEMS Devices 1056
References 1057
14 MEMS Process Integration 1070
14.1 Introduction 1070
14.2 What Is Process Integration? 1071
14.3 What Is an Integrated MEMS Process? 1075
14.4 Differences Between IC and MEMS Fabrication 1075
14.5 Challenges of MEMS Process Integration 1077
14.5.1 Topography 1079
14.5.2 Material Compatibility 1081
14.5.3 Thermal Compatibility 1082
14.5.4 Circuit/MEMS Partitioning of Fabrication 1083
14.5.5 Tooling Constraints 1084
14.5.6 Circuit/MEMS Physical Partitioning 1085
14.5.7 Die Separation, Assembly and Packaging 1087
14.6 How Is Process Integration Performed? 1088
14.6.1 Integrated MEMS Process Integration Strategies 1091
14.7 Design for Manufacturability 1092
14.7.1 Overview 1092
14.7.2 Device Design for Manufacturability 1093
14.7.3 Process Design for Manufacturability 1094
14.7.4 Precision in MEMS Fabrication 1096
14.7.5 Package Design and Assembly 1099
14.7.6 System Design for Manufacturability 1100
14.7.7 Environmental Variations 1100
14.7.8 Test Variations 1101
14.7.9 Recommendations Regarding Design for Manufacturability 1101
14.8 Review of Existing Process Technologies for MEMS 1102
14.8.1 Process Selection Guide 1102
14.8.2 Nonintegrated MEMS Process Sequences 1102
14.8.2.1 PolyMUMPSTM (MEMSCAP) 1102
14.8.2.2 Film Bulk Acoustic-Wave Resonators (FBARs) (Avago) 1107
14.8.2.3 Summit V (Sandia) 1111
14.8.2.4 Microphone (Knowles) 1115
14.8.2.5 Silicon Resonator (SiTime) 1119
14.8.2.6 Gyroscopes (Draper) 1123
14.8.2.7 Bulk Accelerometer (STMicroelectronics) 1124
14.8.2.8 Pressure Sensor (NovaSensor) 1128
14.8.2.9 Microelectronics Wafer-Bonded (Bulk) Accelerometer Process (Ford Microelectronics) 1131
14.8.2.10 Single-Crystal Reactive Etching and Metallization (SCREAM) (Cornell University) 1133
14.8.2.11 High-Aspect-Ratio Combined Poly and Single-Crystal Silicon (HARPSS) MEMS Technology (University of Michigan and Georgia Tech) 1134
14.8.2.12 Hybrid MEMS (Infotonics) 1136
14.8.2.13 Silicon-On-Glass (University of Michigan) 1140
14.8.2.14 SOI MUMPSTM (MEMSCap) 1143
14.8.2.15 LIGA (CAMD, etc.) 1144
14.8.2.16 RF Switch (MEMStronics) 1146
14.8.2.17 MetalMUMPSTM (MEMSCap) 1149
14.8.2.18 aMEMSTM (Teledyne) 1151
14.8.2.19 Plastic MEMS (University of Michigan) 1155
14.8.2.20 Wafer-Level Packaging (ISSYS) 1157
14.8.3 Review of Integrated CMOS MEMS Process Technologies 1159
14.8.3.1 iMEMS -- Analog Devices 1159
14.8.3.2 DLP (Texas Instruments) 1163
14.8.3.3 Integrated MEMS Pressure Sensor (Freescale) 1166
14.8.3.4 Thermal Inkjet Printhead (Xerox) 1169
14.8.3.5 Microbolometer (Honeywell) 1174
14.8.3.6 ASIMPS and ASIM-X (CMU) 1178
14.8.3.7 Integrated CMOS+RF MEMS Process (wiSpry) 1179
14.8.3.8 Integrated SiGe MEMS (UCB) 1181
14.8.3.9 Integrated SUMMiT (Sandia) 1183
14.9 The Economic Realities of MEMS Process Development 1186
14.9.1 Cost and Time for MEMS Development 1186
14.9.2 Production Cost Models 1191
14.9.2.1 MEMS Hybrid Versus Integrated MEMS Production Cost 1191
14.10 Conclusions 1201
References 1202
Index 1207

Erscheint lt. Verlag 18.3.2011
Reihe/Serie MEMS Reference Shelf
Zusatzinfo XXXVI, 1188 p. 200 illus.
Verlagsort New York
Sprache englisch
Themenwelt Technik Elektrotechnik / Energietechnik
Technik Maschinenbau
Technik Nachrichtentechnik
ISBN-10 0-387-47318-1 / 0387473181
ISBN-13 978-0-387-47318-5 / 9780387473185
Haben Sie eine Frage zum Produkt?
PDFPDF (Wasserzeichen)
Größe: 38,1 MB

DRM: Digitales Wasserzeichen
Dieses eBook enthält ein digitales Wasser­zeichen und ist damit für Sie persona­lisiert. Bei einer missbräuch­lichen Weiter­gabe des eBooks an Dritte ist eine Rück­ver­folgung an die Quelle möglich.

Dateiformat: PDF (Portable Document Format)
Mit einem festen Seiten­layout eignet sich die PDF besonders für Fach­bücher mit Spalten, Tabellen und Abbild­ungen. Eine PDF kann auf fast allen Geräten ange­zeigt werden, ist aber für kleine Displays (Smart­phone, eReader) nur einge­schränkt geeignet.

Systemvoraussetzungen:
PC/Mac: Mit einem PC oder Mac können Sie dieses eBook lesen. Sie benötigen dafür einen PDF-Viewer - z.B. den Adobe Reader oder Adobe Digital Editions.
eReader: Dieses eBook kann mit (fast) allen eBook-Readern gelesen werden. Mit dem amazon-Kindle ist es aber nicht kompatibel.
Smartphone/Tablet: Egal ob Apple oder Android, dieses eBook können Sie lesen. Sie benötigen dafür einen PDF-Viewer - z.B. die kostenlose Adobe Digital Editions-App.

Buying eBooks from abroad
For tax law reasons we can sell eBooks just within Germany and Switzerland. Regrettably we cannot fulfill eBook-orders from other countries.

Mehr entdecken
aus dem Bereich
Lehrbuch zu Grundlagen, Technologie und Praxis

von Konrad Mertens

eBook Download (2022)
Carl Hanser Verlag GmbH & Co. KG
34,99
Ressourcen und Bereitstellung

von Martin Kaltschmitt; Karl Stampfer

eBook Download (2023)
Springer Fachmedien Wiesbaden (Verlag)
66,99
200 Aufgaben zum sicheren Umgang mit Quellen ionisierender Strahlung

von Jan-Willem Vahlbruch; Hans-Gerrit Vogt

eBook Download (2023)
Carl Hanser Verlag GmbH & Co. KG
34,99