Silicon Carbide and Related Materials 2016 -

Silicon Carbide and Related Materials 2016

Buch | Softcover
796 Seiten
2017
Trans Tech Publications Ltd (Verlag)
978-3-0357-1043-4 (ISBN)
479,95 inkl. MwSt
  • Titel nicht im Sortiment
  • Artikel merken
Selected, peer reviewed papers from the 11th European Conference on Silicon Carbide and Related Materials 2016 (ECSCRM 2016), September 25-29, 2016, Halkidiki, Greece
This collection of papers by results of the 11th European Conference on Silicon Carbide and Related Materials 2016 (ECSCRM 2016, 25-29 September, Halkidiki, Greece) reflects the latest progress in the field of wide bandgap semiconductors, focusing on silicon carbide. In addition, it covers some selected aspects in related materials like silicon, graphene, gallium oxide and III-nitrides.

Preface, Committees, Sponsors
Chapter 1: Bulk Growth
Bulk Growth of Low Resistivity n-Type 4H-SiC Using Co-Doping
Reduction of Dislocation Density in Bulk Silicon Carbide Crystals Grown by PVT on Profiled Seeds
Quality Improvement of 4’’ 4H-SiC Crystal by Using Modified Seed Adhesion Method
3C-SiC Bulk Sublimation Growth on CVD Hetero-Epitaxial Seeding Layers
Reduction of Dislocation Density of SiC Crystals Grown on Seeds after H2 Etching
SiC Solution Growth on Si Face with Extremely Low Density of Threading Screw Dislocations for Suppression of Polytype Transformation
Formation of Basal Plane Dislocations Introduced by Collision of Macrosteps on Growth Surface during SiC Solution Growth
Solvent Design for High-Purity SiC Solution Growth
Chapter 2: Epitaxial Growth
Evaluation and Reduction of Epitaxial Wafer Defects Resulting from Carbon-Inclusion Defects in 4H-SiC Substrate
150mm Silicon Carbide Selective Embedded Epitaxial Growth Technology by CVD
Analysis of Trench-Filling Epitaxial Growth of 4H-SiC Based on Continuous Fluid Approximation Including Gibbs-Thomson Effect
Carrier Lifetime Control of 4H-SiC Epitaxial Layers by Boron Doping
High-Quality 100/150 mm p-Type 4H-SiC Epitaxial Wafer for High-Voltage Bipolar Devices
Improvement of Quality of Thick 4H-SiC Epilayers
Very High Sustainable Forward Current Densities on 4H-SiC p-n Junctions Formed by VLS Localized Epitaxy of Heavily Al-Doped p++ Emitters
V and Ti Doping in 4H-SiC Epitaxy for Reduction of Carrier Lifetimes
Hydrogen Etching Influence on 4H-SiC Homo-Epitaxial Layer for High Power Device
Investigation of Carrot Reduction Effect on 4H-Silicon Carbide Epitaxial Wafers with Optimized Buffer Layer
Influence of Growth Temperature on Site Competition Effects during Chemical Vapor Deposition of 4H-SiC Layers
Depth Profile of Doping Concentration in Thick (> 100 μm) and Low-Doped (< 4 × 1014 cm-3) 4H-SiC Epilayers
Silicon Deposition on 3C-SiC Seeds of Different Orientations
Growth of Cubic Silicon Carbide on Silicon Using Hot Filament CVD
A Study on 3C-SiC Carbonization on Misoriented Si Substrates: From Research to Production Scale Reactors
Susceptor Coating Materials Applicable for SiC Reactor Cleaning
Chapter 3: Material Properties and Characterisation
3.1: SiC-Oxide Interface and MOS Structures Characterisation
Analysis of 4H-SiC MOS Capacitors on Macro-Stepped Surfaces
Quantitative Investigation of Near Interface Traps in 4H-SiC MOSFETs via Drain Current Deep Level Transient Spectroscopy
Analysis of Interface Trap Density and Channel Mobility in 4H-SiC NMOS Capacitors and Lateral MOSFETs
Analysis of Thin Thermal Oxides on (0001) SiC Epitaxial Layers
Anomalous Fowler-Nordheim Tunneling through SiO2/4H-SiC Barrier Investigated by Temperature and Time Dependent Gate Current Measurements
Two-Dimensional Imaging of Trap Distribution in SiO2/SiC Interface Using Local Deep Level Transient Spectroscopy Based on Super-Higher-Order Scanning Nonlinear Dielectric Microscopy
Oxidation Effect for the Carbon Related Defect Formation in SiC/SiO2 Interface by First Principles Calculation
Low Density of Near-Interface Traps at the Al2O3/4H-SiC Interface with Al2O3 Made by Low Temperature Oxidation of Al
Non-Contact Photo-Assisted Charge-Based Characterization of Dielectric Interfaces in SiC: Evidence of Slow States
Improved Interface Trap Density Close to the Conduction Band Edge of a-Face 4H-SiC MOSFETs Revealed Using the Charge Pumping Technique
Conductance Signal from Near-Interface Traps in n-Type 4H-SiC MOS Capacitors under Strong Accumulation
Physical Characterisation of 3C-SiC(001)/SiO2 Interface Using XPS
Functional Oxide as an Extreme High-k Dielectric towards 4H-SiC MOSFET Incorporation
Universal Parameter Evaluating SiO2/SiC Interface Quality Based on Scanning Nonlinear Dielectric Microscopy
Electrical Properties and Interface Structure of SiC MOSFETs with Barium Interface Passivation
The Effect of Charge Redistribution on Flat-Band Voltage Turnaround in 4H-SiC MOS Capacitors
3.2: Extended and Surface Defects
Three Dimensional Dislocation Analysis of Threading Mixed Dislocation Using Multi Directional Scanning Transmission Electron Microscopy
Correlation between Local Strain Distribution and Microstructure of Grinding-Induced Damage Layers in 4H-SiC(0001)
4H-SiC Defects Evolution by Thermal Processes
Elementary Screw and Mixed-Type Dislocations in 4H-SiC Characterized by X-Ray Topography Taken with Six Equivalent 11-28 g-Vectors and a Comparison to Etch Pit Evaluation
Investigation of the Surface Morphology and Stacking Fault Nucleation on the (000-1)C Facet of Heavily Nitrogen-Doped 4H-SiC Boules
Low Energy Electron Channeling Contrast Imaging from 4H-SiC Surface by SEM and its Comparison with CDIC-OM and PL Imaging
Observation of Basal Plane Dislocation in 4H-SiC Wafer by Mirror Projection Electron Microscopy and Low-Energy SEM
On Deep Level Transient Spectroscopy of Extended Defects in n-Type 4H-SiC
SEM and ECC Imaging Study of Step-Bunched Structure on 4H-SiC Epitaxial Layers
Resolving the Discrepancy between Observed and Calculated Penetration Depths in Grazing Incidence X-Ray Topography of 4H-SiC Wafers
Modeling of Stacking Fault Expansion Velocity of Body Diode in 4H-SiC MOSFET
Extension of Stacking Faults in 4H-SiC pn Diodes under a High Current Pulse Stress
Detection of Crystal Defects in High Doped Epitaxial Layers and Substrates by Photoluminescence
Exploration of Bulk and Epitaxy Defects in 4H-SiC Using Large Scale Optical Characterization
3.3: Band Structure, Charge Transport, Point Defects
Creation and Functionalization of Defects in SiC by Proton Beam Writing
Influence of n-Type Doping Levels on Carrier Lifetime in 4H-SiC Epitaxial Layers
New Efficient Canal of THz Emission from SiC Natural Superlattices in Conditions of Wannier-Stark Localization
Point Defects Investigation of High Energy Proton Irradiated SiC p+-i-n Diodes
New Evidence for the Second Conduction Band in 4H SiC
On Electrons Mobility in Heavily Nitrogen Doped 4H-SiC
Depth-Resolved Carrier Lifetime Measurements in 4H-SiC Epilayers Monitoring Carbon Vacancy Elimination
Formation of D-Center in p-Type 4H-SiC Epi-Layers during High Temperature Treatments
3.4: Optical and other Caracterisation
Density Functional Theory on NV Center in 4H SiC
Comparative Study of p-Type 4H-SiC Grown on n-Type and Semi Insulating 4H-SiC Substrates
DLTS Study on Al+ Ion Implanted and 1950°C Annealed p-i-n 4H-SiC Vertical Diodes
Micro-Raman Scattering Study of Strain Fields in Homo-Epitaxial Layer on Nitrogen-Doped 4H-SiC Substrate
Point Contact Current Voltage Measurements of 4H-SiC Samples with Different Doping Profiles
Effect of Neutron Irradiation on SiC Etching in KOH Melt
Employing Scanning Spreading Resistance Microscopy (SSRM) for Improving TCAD Simulation Accuracy of Silicon Carbide
Characterization of B-Implanted 3C-SiC for Intermediate Band Solar Cells
Detection of Crystallographic Defects in 3C-SiC by Micro-Raman and Micro-PL Analysis
Growth and Temperature-Depending Raman Characterization of Different Nitrogen-Doped 4H-SiC Crystals
Effect of 3C-SiC Irradiation with 8 MeV Protons
Photoluminescence Characterization of Carrier Recombination Centers in 4H-SiC Substrates by Utilizing below Gap Excitation
Chapter 4: Processing
4.1: SiC-Oxide Interface and MOS Structures Processing
Ultrahigh-Temperature Oxidation of 4H-SiC(0001) and an Impact of Cooling Process on SiO2/SiC Interface Properties
Characterisation of 4H-SiC MOS Capacitor with a Protective Coating for Harsh Environments Applications
Properties of SiO2/4H-SiC Interfaces with an Oxide Deposited by a High-Temperature Process
Re-Investigation of SiC/SiO2 Interface Passivation by Nitrogen Annealing
Structure and Surface Morphology of Thermal SiO2 Grown on 4H-SiC by Metal-Enhanced Oxidation Using Barium
Combined N2O and Phosphorus Passivations for the 4H-SiC/SiO2 Interface with Oxide Grown at 1400°C
Enhanced-Oxidation and Interface Modification on 4H-SiC(0001) Substrate Using Alkaline Earth Metal
Improved 4H-SiC N-MOSFET Interface Passivation by Combining N2O Oxidation with Boron Diffusion
Enhanced Low-Temperature Oxidation of 4H-SiC Using SrTi1-xMgxO3-δ
4.2: Etching, Ohmic Contacts and other Processing
Study of Etching Processes for SiC Defect Analysis
Investigation on Wet Etching 4H-SiC Damaged by Ion Implantation
4H-SiC Trench Structure Fabrication with Al2O3 Etching Mask
Improving Mechanical Strength and Surface Uniformity to Prepare High Quality Thinned 4H-SiC Epitaxial Wafer Using Si-Vapor Etching Technology
Fabrication of Thick Free-Standing Lightly-Doped n-Type 4H-SiC Wafers
A Method to Adjust Polycrystalline Silicon Carbide Etching Rate Profile by Chlorine Trifluoride Gas
Demonstrating the Instability of SiC Ohmic Contacts and Drain Terminal Metallization Schemes Aged at 300 °C
Ni-Al-Ti Ohmic Contacts on Al Implanted 4H-SiC
Effect of Annealing on the Characteristics of Ti/Al Ohmic Contacts to p-Type 4H-SiC
Low Resistance Ti-Si-C Ohmic Contacts for 4H-SiC Power Devices Using Laser Annealing
Laser Assisted SiC Wafering Using COLD SPLIT
Salicide-Like Process for the Formation of Gate and Source Contacts in 4H-SiC TSI-VJFETs
An Electrical and Physical Study of Crystal Damage in High-Dose Al- and N-Implanted 4H-SiC
Chapter 5: Devices
5.1: Rectifying Devices
Suppression of the Forward Degradation in 4H-SiC PiN Diodes by Employing a Recombination-Enhanced Buffer Layer
10+ kV Implantation-Free 4H-SiC PiN Diodes
4.5 kV SiC Junction Barrier Schottky Diodes with Low Leakage Current and High Forward Current Density
Simulation of 4H-SiC Trench Junction Barrier Schottky Diodes with High-k Dielectrics
6.5 kV 4H-SiC PiN Diodes without Bipolar Degradation
Al+ Ion Implanted 4H-SiC Vertical p+-i-n Diodes: Processing Dependence of Leakage Currents and OCVD Carrier Lifetimes
An Investigation into the Impact of Surface Passivation Techniques Using Metal-Semiconductor Interfaces
Degradation of 600-V 4H-SiC Schottky Diodes under Irradiation with 0.9 MeV Electrons
Demonstration of 13-kV Class Junction Barrier Schottky Diodes in 4H-SiC with Three-Zone Junction Termination Extension
Design Optimization of a High Temperature 1.2 kV 4H-SiC Buried Grid JBS Rectifier
Effect of Neutron Irradiation on Current-Voltage Characteristics of Packaged Diodes Based on 6H-SiC pn Structures
Lifetime Control in SiC PiN Diodes Using Radiation Defects
The Effect of Incomplete Ionization on SiC Devices during High Speed Switching
On the Influence of Active Area Design on the Performance of SiC JBS Diodes
5.2: MOSFETs
Demonstration of SiC-MOSFET Embedding Schottky Barrier Diode for Inactivation of Parasitic Body Diode
0.97 mΩcm2/820 V 4H-SiC Super Junction V-Groove Trench MOSFET
1200V SiC Trench-MOSFET Optimized for High Reliability and High Performance
3.3 kV 4H-SiC DMOSFET with Highly Reliable Gate Insulator and Body Diode
1200 V SiC IE-UMOSFET with Low On-Resistance and High Threshold Voltage
Understanding High Temperature Static and Dynamic Characteristics of 1.2 kV SiC Power MOSFETs
Switching Performance of V-Groove Trench Gate SiC MOSFETs with Grounded Buried p+ Regions
Feasibility of SiC Threshold Voltage Drift Characterization for Reliability Assessment in Production Environments
Electrical Stability Impact of Gate Oxide in Channel Implanted SiC NMOS and PMOS Transistors
Design and Fabrication of 1400V 4H-SiC Accumulation Mode MOSFETs (ACCUFETs)
Next Generation Planar 1700 V, 20 mΩ 4H-SiC DMOSFETs with Low Specific On-Resistance and High Switching Speed
Short-Circuit Robustness Testing of SiC MOSFETs
Novel Advanced Analytical Design Tool for 4H-SiC VDMOSFET Devices
Negative Bias Temperature Instability on Subthreshold Swing of SiC MOSFET
Impact of Channel Mobility Improvement Using Boron Diffusion on Different Power MOSFETs Voltage Classes
Analysis Self-Healing of Gate Leakage Current due to Oxide Traps to Improve Reliability of Gate Electrode
Comparative Evaluation of Commercial 1200 V SiC Power MOSFETs Using Diagnostic I-V Characterization at Cryogenic Temperatures
Dynamic Characterization of the Threshold Voltage Instability under the Pulsed Gate Bias Stress in 4H-SiC MOSFET
Comprehensive and Detailed Study on the Modeling of Commercial SiC Power MOSFET Devices Using TCAD
Cryogenic Characterisation and Modelling of Commercial SiC MOSFETs
5.3: Bipolar and other Switching Devices
Collector Conductivity Modulation in 1200-V 4H-SiC BJTs (Modeling)
Experimentally Observed Electrical Durability of 4H-SiC JFET ICs Operating from 500 °C to 700 °C
Improved Switching Characteristics Obtained by Using High-k Dielectric Layers in 4H-SiC IGBT: Physics-Based Simulation
Simulation Study of Switching-Dependent Device Parameters of High Voltage 4H-SiC GTOs
Total Dose Effects on 4H-SiC Bipolar Junction Transistors
16 kV, 75 kHz, 50% Duty Cycle, SiC Photonic Based Bulk Conduction Power Switch Development
Impact of Carrier Lifetime Enhancement Using High Temperature Oxidation on 15 kV 4H-SiC P-GTO Thyristor
Capacitances in 4H-SiC TSI-VJFETs
Comparison of Thermal Stress during Short-Circuit in Different Types of 1.2 kV SiC Transistors Based on Experiments and Simulations
5.4: Sensors, Nanostructures, MEMS and Heterodevices
Tuning Performance of Silicon Carbide Micro-Resonators
Barrier Stability of Pt/4H-SiC Schottky Diodes Used for High Temperature Sensing
Design and Simulation of 4H-SiC MESFET Ultraviolet Photodetector with Gain
Effect of Neutron Irradiation on Epitaxial 4H-SiC PiN UV-Photodiodes
Implementation of 4H-SiC Pin-Diodes as Nearly Linear Temperature Sensors up to 800 K towards SiC Multi-Sensor Integration
Optimization of 4H-SiC Photodiodes as Selective UV Sensors
Silicon Carbide Radiation Detectors for Medical Applications
4H-SiC PIN Diode as High Temperature Multifunction Sensor
Localized Surface Plasmon on 6H SiC with Ag Nanoparticles
Chemical Stability of Si-SiC Nanostructures under Physiological Conditions
Corona Assisted Ga Based Nanowire Growth on 3C-SiC(111)/Si(111) Pseudosubstrates
Chapter 6: Circuits and Applications
Performance Evaluation and Expected Challenges of Silicon Carbide Power MOSFETs for High Voltage Applications
Challenges on Drive Circuit Design for Series-Connected SiC Power Transistors
Monolithically Integrated Solid-State-Circuit-Breaker for High Power Applications
Experimental Verification of a Self-Triggered Solid-State Circuit Breaker Based on a SiC BIFET
4H-SiC Pseudo-CMOS Logic Inverters for Harsh Environment Electronics
650V SiC Cascode: A Breakthrough for Wide-Bandgap Switches
A Built-In High Temperature Half-Bridge Power Module with Low Stray Inductance and Low Thermal Resistance for In-Wheel Motor Application
High Temperature Bipolar Master-Slave Comparator and Frequency Divider in 4H-SiC Technology
High Frequency Power Supply with 3.3 kV SiC-MOSFETs for Accelerator Application
Switching SiC Devices Faster and More Efficient Using a DBC Mounted Terminal Decoupling Si-RC Element
Switching Analysis for All-SiC Module
SiC Schottky Diode Rectifier Bridge Represented as Diffusion-Welded Stack
SiC Power Devices in Impedance Source Converters
Chapter 7: Related Materials
Dislocation Revelation and Categorization for Thick Free-Standing GaN Substrates Grown by HVPE
Thermal and Lattice Misfit Stress Relaxation in Growing AlN Crystal with Simultaneous Evaporation of SiC Substrate
Thermo-Mechanical Reliability and Performance Degradation of a Lead-Free RF Power Amplifier with Gan-on-SiC Hemts
Prediction of High-Density and High-Mobility Two-Dimensional Electron Gas at AlxGa1-xN/4H-SiC Interface
Investigation of Direct Water Photoelectrolysis Process Using III-N Structures
High Temperature Grown Graphene on SiC Studied by Raman and FTIR Spectroscopy
CVD Growth of Graphene on SiC (0001): Influence of Substrate Offcut
Nanostructuring of Graphene on Semi-Insulating SiC
Realization and Characterization of Carbonic Layers on 4H-SiC for Electrochemical Detections
High Temperature Reliability Assessment and Degradation Analysis for Diamond Semiconductor Devices
The Effect of Interfacial Charge on the Development of Wafer Bonded Silicon-on-Silicon-Carbide Power Devices
Numerical Study of Energy Capability of Si/SiC LDMOSFETs
Bulk β-Ga2O3 with (010) and (201) Surface Orientation: Schottky Contacts and Point Defects

Erscheinungsdatum
Reihe/Serie Materials Science Forum
Zusatzinfo Illustrations, unspecified
Verlagsort Zurich
Sprache englisch
Maße 170 x 240 mm
Gewicht 1460 g
Themenwelt Naturwissenschaften Chemie Technische Chemie
Technik Elektrotechnik / Energietechnik
Technik Maschinenbau
ISBN-10 3-0357-1043-0 / 3035710430
ISBN-13 978-3-0357-1043-4 / 9783035710434
Zustand Neuware
Haben Sie eine Frage zum Produkt?
Mehr entdecken
aus dem Bereich

von Manfred Baerns; Arno Behr; Axel Brehm; Jürgen Gmehling …

Buch | Hardcover (2023)
Wiley-VCH (Verlag)
94,90
erneuerbare Energien und Speichertechnologien für die Energiewende

von Jürgen Karl

Buch | Softcover (2023)
De Gruyter Oldenbourg (Verlag)
64,95
Alles zu Messunsicherheit, konventioneller Messtechnik und …

von Michael Marxer; Carlo Bach; Claus P. Keferstein

Buch | Softcover (2021)
Springer Vieweg (Verlag)
37,99